Chương trình thưc thi vivado on linux

Tổng quan về vivado

           Vivado® Design Suite xuất hiện với nhiều giải pháp để hòan thành thành tác vụ bao gồm thiết kế và kiểm tra FPGA. Thêm vào đó quá trình thiết kế RTL-to-bitstream, Vivado Design Suite cung cấp các quá trình tích hợp cấp hệ thống mới và tập trung trên thiết kết IP (intellectual property). IP khác nhau có thể được nhanh chóng cấu hình và được kết nối với nhau thành các thiết kế khối hệ thống phụ IP trong môi trường IP integrator của vivado. các thiết kế IP block và custom IP có thể được cấu hình, đóng gói và tạo có sẵn từ catalog IP của vivado. Kiểm tra và phân tích thiết kế được cho phép ở mỗi tầng của quá trình thiết kế. Các tính năng thiết kế bao gồm mô phỏng logic, I/O và clock planning, phân tích nguồn, xác định rằng buộc và phân tích timming, kiểm tra giá trị thiết kế – DRC, ảo hóa của thiết kế logic phân tích và thay đổi các giá trị thực thi, và lập trình và gỡ lỗi.
Toàn bộ giải pháp được tích hợp trong giao diện đồ họa người dùng GUI như môi tường thiết kế IDE vivado. IDE vivado cung cấp 1 giao diện tổng hợp, thực thi, và phê chuẩn thiết kế và IP. Thêm vào đó, tất cả quá trinh có thể chạy dùng các lệnh Tcl. Các lệnh Tcl có thể tương tác dùng Tcl shell trên môi trường thiết kế của Vivado IDE. Bạn có thể dùng các kịch bản Tcl để chậy toàn bộ quá trình thiết kế, bao gồm phân tích thiết kế, hoặc chạy chỉ 1 phần của thiết kế.

Qúa trình thiết kế mức hệ thống:

Chương trình thưc thi vivado on linux

Bạn có thể dùng  Vivado Design Suite cho các kiểu thiết kế khác nhau. Công cụ và tính năng khác nhau tùy thuộc vào kiểu thiết kế, Vivado Design Suite hỗ trợ các thiết kế chuẩn công nghiệp sau :
• Tcl
               • AXI4, IP-XACT
• Synopsys design constraints (SDC)
• Verilog, VHDL, SystemVerilog
• SystemC, C, C++, OpenCL

Vivado Design Suite cung cấp 1 môi trường để cấu hình, thực thi, kiểm tra và tích hợp IP như 1 module độc lập hoặc trong nội dung của thiết kế cấp hệ thống. IP có thể bao gồm logic, các vi xử lý nhúng, các module xử lý tín hiệu số DSP, hoặc các thiết kế thuật toán DSP dựa vào C. Custom IP được đóng gói sau giao thức IP-XACT và sau đó tạo sẵn qua catalog IP của Vivado. Catalog IP cung cấp truy cập nhanh đến IP cho cấu hình, khởi tạo và kết nối. Xilinx IP sử dụng các cấu kết nối chuẩn AXI4 để cho phép tích hợp cấp hệ thống nhanh hơn. IP tồn tại có thể được dùng trong thiết kế cả trong RTL hoặc netlist.
Trong  Vivado Design Suite, bạn có thể lựa chọn 1 nền tảng thiết kế có sẵn như 1 thiết bị đích. Tất cả các giao tiếp IP có thể được đưa ra để cho phép lựa chọn nhanh và cấu hình IP bạn muốn dùng. Gía trị các tham số cấu hình IP và các rằng buộc của board vật lý, như vị trí I/O và các rằng buộc I/O chuẩn, là tự động được phân bổ và đẩy mạnh nhanh quá tỉnh thiết kế. Kết nối tự động cho phép kết nối nhanh chóng đến IP đã được lựa chọn.
Các tính năng chính quá quá trình thiết kế:
• Vivado synthesis
• Vivado implementation
• Vivado timing analysis
• Vivado power analysis
• Bitstream generation

Các tính năng này được thiết kế để cung cấp dung lượng thiết kế lớn và hiệu năng thiết kế được tăng lên với thời gian thực thi chạy được giảm xuống. Bởi vì vi xử lý yêu cầu phần mềm để boot và chạy hiệu quá, quá tình thiết kế phần mềm làm việc đồng nhất với quá trình thiết kế phần cứng. Tạo 1 thiết kế phần cứng vi xử lý được nhúng bao gồm các tính năng IP integrator của Vivado Design Suite. Trong môi trường IP integrator, bạn khởi tạo, cấu hình và lắp ghép lõi vi xử lý và các giao tiếp của nó. Sau khi thiết kế được biên dịch thông qua thực thi, nó được đưa vào Xilinx Software Development Kit (SDK) cho quá trình phát triển phần mềm. Tính năng mô phỏng và gỡ lỗi cho phép bạn mô phỏng và phê chuẩn thiết kế qua 2 miền.

Vivado Design Suite được tích hợp trực tiếp với Xilinx System Generator tool để cung cấp 1 giải pháp cho thực thi các chức năng DSP. DSP được tích hợp và quản lý trong vivado IDE. Khi lựa chọn 1 lõi DSP cho edit, system generator được giải phóng tự động. Bạn có thể cũng dùng system generator như 1 công cụ độc lập và dùng các file đầu ra như các file nguồn trong vivado IDE.
C-based High-Level Synthesis (HLS) tool trong Vivado Design Suite cho phép bạn mô tả các chức năng DSP khác nhau trong thiết kế dùng C, C++, system C, và OpenCL. Bạn tạo và phê chuẩn code C với vivado HLS, có thể sau đó thực thi nhiều thí nghiệm dùng các tham số khác nhau để tối ưu hóa hiệu năng. Bạn có thể phê chuẩn nhanh thiết kế và tạo mô phỏng RTL testbech . C-to-RTL chuyển sang thiết kế C-based thành module RTL nó có thể được đóng gói và thực thi với phần còn lại của thiết kế.
Vivado IDE cung cấp 1 môi trường cấu hình chân I/O cho phép phân bổ các cổng I/O lên trên các chân thiết bị đóng gói chỉ định . Bạn có thể phân tích thiết bị và dữ liệu I/O thiết kế có liên quan.
The Vivado IDE cho phép bạn phân tích, kiểm tra và thay đổi thiết kế ở mỗi tầng của quá tình thiết kế giúp cải thiện hiệu năng mạch điện bằng các phân tích các giá trị tạm thời trong quá trình thiết kế. Cho pehsp bạn chạy các hành vi cac mô phỏng cấu trúc logic cho từng tầng thiết kế.

Hướng dẫn cài vivado phiên bản mới nhất!

                Các bạn cần tạo để có thể tải được phiên bản vivado:  https://secure.xilinx.com/webreg/createUser.do?languageID=1

Chương trình thưc thi vivado on linux

Tải vivado tại link: http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools.html
Sau đó lựa chọn gói cài đặt cho windown hoặc linux và 1 phiên bản đặc biệt cài được cho cả linux và windown nhưng khá nặng.
Chương trình thưc thi vivado on linux

Ở đây mình cài gói webpack : WebPACK and Editions – Windows Self Extracting Web Installer.
Nó sẽ hiển thị ra 1 cửa sổ đăng nhập sau đó đăng nhập và điền đầy đủ thông tin.

Chương trình thưc thi vivado on linux

Chọn next để bắt đầu quá trình download.
Khi tải về hoàn tất sẽ được 1 file cài đặt vivado.

Chương trình thưc thi vivado on linux

Nhấp đúp chuột trái vào file thực thi để Bắt đầu quá trình cài đặt:

Chương trình thưc thi vivado on linux

Đăng nhập và bắt đầu cài đặt.

Chương trình thưc thi vivado on linux

Chương trình thưc thi vivado on linux

Các bạn chọn system edition để cài đặt đầy đủ môi trường phát triển của vivado.

Chương trình thưc thi vivado on linux

Chương trình thưc thi vivado on linux
Chương trình thưc thi vivado on linux
Chương trình thưc thi vivado on linux
Chương trình thưc thi vivado on linux

Cài đặt hoàn tất thì sẽ xuất hiện các icon vivado ở ngoài màn hình.

Chương trình thưc thi vivado on linux

Vivado License Manager sẽ chạy tự động hiện lên .

Chương trình thưc thi vivado on linux

Các Connect now để lấy licence miễn phí nhưng sẽ bị giới hạn tính năng nhưng cũng đủ để lập trình ngon các ứng dụng.

Chương trình thưc thi vivado on linux

Chương trình thưc thi vivado on linux

Môi trường làm việc vivado.

Chương trình thưc thi vivado on linux